System-Level Modeling and Simulation of MEMS-Based Sensors

Kashif Virk, Jan Madsen, Mohammad Shafique, Aric Menon

AbstractThe growing complexity of MEMS devices and their increased used in embedded systems (e.g., wireless integrated sensor networks) demands a disciplined aproach for MEMS design as well as the development of techniques for system-level modeling of these devices so that a seamless integration with the existing embedded system design methodologies is possible.

In this paper, we present a MEMS design methodology that uses VHDL-AMS based system-level model of a MEMS device as a starting point and combines the top-down and bottom-up design approaches for design, verification, and
optimization. The capabilities of our proposed design methodology are illustrated through the design of a microaccelerometer.
KeywordsMEMS, Sensors, Wireless Sensor Networks, ystem-Level Model, Reduced-Order Model, Microaccelerometer, VHDL-AMS, SystemC
TypeConference paper [With referee]
ConferenceIEEE International Multi-Topic Conference (INMIC)
Year2005    Month December
PublisherIEEE
AddressNew York, USA
Publication linkhttp://ieeexplore.ieee.org
BibTeX data [bibtex]
IMM Group(s)Computer Science & Engineering